V2.2_UVM_ABC.7z

【文件属性】:
文件名称:V2.2_UVM_ABC.7z
文件大小:313KB
文件格式:7Z
更新时间:2021-08-03 07:31:04
【文件预览】:
V2.2_UVM_ABC
----lab2()
--------tb.sv(7KB)
--------chnl_pkg.sv(9KB)
--------Makefile(1KB)
--------mcdf_pkg.sv(32KB)
--------arb_pkg.sv(1KB)
--------fmt_pkg.sv(10KB)
--------reg_pkg.sv(8KB)
----lab5()
--------tb.sv(5KB)
--------chnl_pkg.sv(7KB)
--------Makefile(1KB)
--------param_def.v(670B)
--------mcdf_pkg.sv(37KB)
--------arb_pkg.sv(1KB)
--------mcdf_rgm_pkg.sv(6KB)
--------fmt_pkg.sv(8KB)
--------mcdf_rgm_pkg_ref.sv(6KB)
--------mcdf_pkg_ref.sv(38KB)
--------reg_pkg.sv(8KB)
----lab3()
--------tb.sv(5KB)
--------chnl_pkg.sv(7KB)
--------chnl_pkg_ref.sv(7KB)
--------Makefile(1KB)
--------mcdf_pkg.sv(37KB)
--------arb_pkg.sv(1KB)
--------fmt_pkg_ref.sv(8KB)
--------fmt_pkg.sv(8KB)
--------reg_pkg_ref.sv(7KB)
--------mcdf_pkg_ref.sv(43KB)
--------tb_ref.sv(5KB)
--------reg_pkg.sv(7KB)
----mcdf()
--------param_def.v(670B)
--------arbiter.v(5KB)
--------formater.v(8KB)
--------reg.v(4KB)
--------mcdf.v(5KB)
--------slave_fifo.v(3KB)
----lab4()
--------tb.sv(5KB)
--------chnl_pkg.sv(7KB)
--------chnl_pkg_ref.sv(7KB)
--------Makefile(1KB)
--------mcdf_pkg.sv(34KB)
--------arb_pkg.sv(1KB)
--------fmt_pkg_ref.sv(8KB)
--------fmt_pkg.sv(8KB)
--------coverage_lab.sv(413B)
--------reg_pkg_ref.sv(8KB)
--------mcdf_pkg_ref.sv(36KB)
--------reg_pkg.sv(7KB)
----lab1()
--------factory_mechanism_ref.sv(4KB)
--------Makefile(1KB)
--------uvm_object_methods.sv(3KB)
--------phase_order.sv(4KB)
--------factory_mechanism.sv(5KB)
--------uvm_message_ref.sv(5KB)
--------uvm_object_methods_ref.sv(3KB)
--------uvm_config.sv(4KB)
--------uvm_config_ref.sv(5KB)
--------lab1.cr.mti(2KB)
--------lab1.mpf(98KB)
--------work()
--------uvm_message.sv(4KB)
--------phase_order_ref.sv(6KB)
----lab0()
--------sv_class_inst.sv(348B)
--------Makefile(1KB)
--------uvm_class_inst.sv(493B)
--------uvm_compile.sv(316B)
--------vish_stacktrace.vstf(6KB)
--------work()
--------uvm_test_inst.sv(747B)
--------UVM_lab0.cr.mti(2KB)
--------UVM_lab0.mpf(97KB)

相关阅读相关阅读